IEEE Transactions on Nanotechnology, volume 20, pages 33-38

The Role of Oxygen on Anisotropy in Chromium Oxide Hard Mask Etching for Sub-Micron Fabrication

Publication typeJournal Article
Publication date2021-01-01
scimago Q2
SJR0.435
CiteScore4.8
Impact factor2.1
ISSN1536125X, 19410085
Computer Science Applications
Electrical and Electronic Engineering
Abstract
Chromium and its oxides have been playing a vital role in the fabrication of micro- and nano-scale structures in numerous applications for several decades. Controllable, robust and anisotropically dry-etched hard masks and their optimal etch recipes are required in state-of-the-art device fabrication techniques. In terms of manufacturability and repeatability, a mechanistic understanding of the plasma-etching process of chromium oxide (Cr 2 O 3 ) is necessary for its adoption as a hard mask. We present a systematic investigation of plasma etching of chromium oxide films via an inductively coupled plasma-reactive ion etching (ICP-RIE) system in nanoscale. The effects of plasma composition, ICP source power and HF platen power on the etch rate, sidewall profile, surface morphology, and dc-bias have been methodically investigated. We paid particular attention to studying how oxygen content can be used to control the etch profile of nano trenches using chlorine/oxygen gas mixtures, including extremes of very low and very high oxygen content. It was found that chromium oxide etch mechanisms are dependent strongly on the oxygen level. We achieved desirable vertical sidewalls with reasonable etch rates when the oxygen content is in the range 10-40% in the plasma. Oxygen content below 10% resulted in positively tapered etch profiles with low etch rates. On the other hand, bowl-like etch profiles with undercut formation was observed at high oxygen content above 40%, caused by re-emission of the reactive species at this regime. As a hard mask material, patterning Cr 2 O 3 films compared to Cr metal is advantageous in terms of etch uniformity and reproducibility. Contrary to Cr, Cr 2 O 3 is not as sensitive to chamber wall conditions.
Dey R.K., Ekinci H., Cui B.
2020-01-01 citations by CoLab: 17 Abstract  
High aspect ratio silicon structures have gained significant interest due to their vast applications. Minimal lateral etch under the mask is essential to achieve such high aspect ratio structures. Previously, the authors reported that chromium oxide is better than metallic chromium as a hard mask for silicon etching in terms of etch rate and selectivity to resist during mask structure fabrication. Here, it is reported that a metal oxide etch mask also gives less lateral etch than a metal etch mask. Following mask structure fabrication by electron beam lithography and lift-off, silicon was etched using a nonswitching (i.e., SF6 and C4F8 gases simultaneously injected into a chamber) pseudo-Bosch process. The amount of lateral etching right underneath the mask is less (roughly half) for Cr2O3 and Al2O3 masks than Cr or Al masks. One plausible explanation for the difference is the metal-assisted plasma etching effect where the metal catalyzes the chemical reaction by injecting holes into the silicon in contact. It is also reported that a higher bias power leads to less undercut than a lower one, due to increased and more directional physical bombardment by ions.High aspect ratio silicon structures have gained significant interest due to their vast applications. Minimal lateral etch under the mask is essential to achieve such high aspect ratio structures. Previously, the authors reported that chromium oxide is better than metallic chromium as a hard mask for silicon etching in terms of etch rate and selectivity to resist during mask structure fabrication. Here, it is reported that a metal oxide etch mask also gives less lateral etch than a metal etch mask. Following mask structure fabrication by electron beam lithography and lift-off, silicon was etched using a nonswitching (i.e., SF6 and C4F8 gases simultaneously injected into a chamber) pseudo-Bosch process. The amount of lateral etching right underneath the mask is less (roughly half) for Cr2O3 and Al2O3 masks than Cr or Al masks. One plausible explanation for the difference is the metal-assisted plasma etching effect where the metal catalyzes the chemical reaction by injecting holes into the silicon in contac...
Ekinci H., Dey R.K., Cui B.
2019-11-01 citations by CoLab: 5 Abstract  
Among various grating structure fabrication techniques, potassium hydroxide (KOH) wet anisotropic etching of Si(110) wafers offers low cost and impressive aspect ratio over large areas with high etch uniformity. The aspect ratio is ultimately limited by lateral etching that constantly widens the trenches. In this paper, the authors demonstrated a method to double the achievable aspect ratio using two-step KOH etching. After first KOH etching, the grating structure was grown with a thermal oxide; and after removing the oxide from the trench bottom using reactive ion etching, a second KOH etching was carried out with the original trench sidewall protected by the thermal oxide. The authors achieved the highest anisotropy [etching rate ratio of (110) and (111)] of 247 with 50 wt. % KOH at room temperature. Using the two-step KOH etching, it is possible to increase the aspect ratio by more than a factor of 2 while keeping the trench width almost unchanged.Among various grating structure fabrication techniques, potassium hydroxide (KOH) wet anisotropic etching of Si(110) wafers offers low cost and impressive aspect ratio over large areas with high etch uniformity. The aspect ratio is ultimately limited by lateral etching that constantly widens the trenches. In this paper, the authors demonstrated a method to double the achievable aspect ratio using two-step KOH etching. After first KOH etching, the grating structure was grown with a thermal oxide; and after removing the oxide from the trench bottom using reactive ion etching, a second KOH etching was carried out with the original trench sidewall protected by the thermal oxide. The authors achieved the highest anisotropy [etching rate ratio of (110) and (111)] of 247 with 50 wt. % KOH at room temperature. Using the two-step KOH etching, it is possible to increase the aspect ratio by more than a factor of 2 while keeping the trench width almost unchanged.
Staaks D., Yu Z., Dhuey S.D., Sassolini S., Lee K.Y., Rangelow I.W., Olynick D.L.
2019-11-01 citations by CoLab: 7 Abstract  
Chromium and its oxides are valuable as functional materials and plasma-etching hard masks in micro- and nanofabrication. While the continuous decrease in feature sizes opens new opportunities for applications of patterned chromium, the demands placed on the patterning process require a new level of mechanistic understanding. In this work, we investigate plasma etching with chlorine/oxygen chemistry using temperature and oxygen concentration as a means to controllably pattern chromium at the nanometer level. Building on our previous studies on blanket film chromium etching, we look here at patterned trenches 15–100 nm wide in 20 and 10 nm thick chromium films. We vary oxygen concentrations from 1.5% to 81% at substrate temperatures of +20 and −50 °C, respectively. Feature and trench profiles are evaluated from high-resolution cross-sectional SEM images. The ternary chromium etch product shows very different profiles as oxygen, temperature, and feature size are varied. We find the highest anisotropy at −50 °C and 50% oxygen concentration. Etch results suggest that the redeposition of nonvolatile intermediate reaction compounds enhances anisotropy at low temperature, whereas re-emission of reactive species causes undercut at the higher etching temperature.Chromium and its oxides are valuable as functional materials and plasma-etching hard masks in micro- and nanofabrication. While the continuous decrease in feature sizes opens new opportunities for applications of patterned chromium, the demands placed on the patterning process require a new level of mechanistic understanding. In this work, we investigate plasma etching with chlorine/oxygen chemistry using temperature and oxygen concentration as a means to controllably pattern chromium at the nanometer level. Building on our previous studies on blanket film chromium etching, we look here at patterned trenches 15–100 nm wide in 20 and 10 nm thick chromium films. We vary oxygen concentrations from 1.5% to 81% at substrate temperatures of +20 and −50 °C, respectively. Feature and trench profiles are evaluated from high-resolution cross-sectional SEM images. The ternary chromium etch product shows very different profiles as oxygen, temperature, and feature size are varied. We find the highest anisotropy at −50...
Aydinoglu F., Saffih F., Dey R.K., Cui B.
2017-10-30 citations by CoLab: 13 Abstract  
In nanofabrication, use of thin resist is required to achieve very high resolution features. But thin resist makes pattern transferring by dry etching difficult because typical resist has poor resistance to plasma etching. One widely employed strategy is to use an intermediate hard mask layer, with the pattern first transferred into this layer, then into the substrate or sublayer. Cr is one of the most popular hard etching mask materials because of its high resistance to plasma etching. Cr etching is carried out in O2 and Cl2 or CCl4 environment to form the volatile etching product CrO2Cl2, but addition of O2 gas leads to fast resist etching. In this work, the authors show that Cr2O3 can be etched readily in a Cl2/O2 gas mixture with less oxygen than needed for Cr etching, because Cr2O3 contains oxygen by itself. Thus it is easier to transfer the resist pattern into Cr2O3 than into Cr. For the subsequent pattern transferring into the substrate here silicon using nonswitching pseudo-Bosch inductively coupled plasma-reactive ion etching with SF6/C4F8 gas and Cr or Cr2O3 as mask, it was found that the two materials have the same etching resistance and selectivity of 100:1 over silicon. Therefore, Cr2O3 is a more suitable hard mask material than Cr for pattern transferring using dry plasma etching.
Jayamurugan P., Mariappan R., Premnazeer K., Ashokan S., Subba Rao Y.V., Seshagiri Rao N.V., Shanmugapriya C.
Sensing and Imaging scimago Q2 wos Q3
2017-06-20 citations by CoLab: 7 Abstract  
Cr2O3 nanoparticles have been prepared for precipitation technique at reaction temperature 50 °C. The prepared samples were annealed different temperatures at 500,700 and 1000 °C. Synthesized powders were characterized as X-ray diffraction, optical, transmission electron microscope, SEM with EDAX, humidity sensor, FTIR. The annealing temperature has been found to be playing a crucial role in the controlling particle size. XRD study shows the rhombohedral crystal structure of highly preferential orientation along (1 0 4) direction. FTIR reveals that the presence Cr–O bonds in the structure. The TEM images show that the size of NPs of Cr2O3 varied from 26 to 60 nm with average crystalline size 43 nm. UV–visible spectrum shows the absorption band of Cr2O3 nanoparticles at 400 nm. The humidity sensor of the Cr2O3 nanoparticles was studied by two temperature method. 1000 °C annealed Cr2O3 nanoparticles show better sensing properties and exhibits good linearity in response than 500 °C. SEM images show the clusters and agglomeration of nanoparticles. EDAX spectrum confirms the presence of Cr2O3 nanoparticles. Each samples have been characterized as sensing materials to determine relative humidity in the range of 20–90%. The humidity sensing property increased with increasing of annealing temperature and the resistance was decreased.
Staaks D., Yang X., Lee K.Y., Dhuey S.D., Sassolini S., Rangelow I.W., Olynick D.L.
Nanotechnology scimago Q2 wos Q2
2016-09-08 citations by CoLab: 8 Abstract  
Patterned chromium and its compounds are crucial materials for nanoscale patterning and chromium based devices. Here we investigate how temperature can be used to control chromium etching using chlorine/oxygen gas mixtures. Oxygen/chlorine ratios between 0% and 100% and temperatures between -100 °C and +40 °C are studied. Spectroscopic ellipsometry is used to precisely measure rates, chlorination, and the thickness dependence of n and k. Working in the extremes of oxygen content (very high or very low) and lower temperatures, we find rates can be controlled to nanometers per minute. Activation energies are measured and show that etch mechanisms are both temperature and oxygen level dependent. Furthermore, we find that etching temperature can manipulate the surface chemistry. One surprising consequence is that at low oxygen levels, Etching rates increase with decreasing temperature. Preliminary feature-profile studies show the extremes of temperature and oxygen provide advantages over commonly used room temperature processing conditions. One example is with higher ion energies at -100 °C, where etching products deposit.
Ekinci H.
2016-02-18 citations by CoLab: 1 Abstract  
Plasmas have been employed in a wide range of industrial applications such as etching, sputtering, and chemical vapor deposition. Plasma etching in other words dry etching is a very critical tool in manufacturing semiconductor devices. Plasma etching is considerably advantageous in comparison to wet etching. Ability to etch fine features and highly anisotropic etch profiles are among the most important benefits of plasma etching. Here we first discuss fundamental features of plasmas including ion sheath and potential distribution in a radio frequency (RF) discharge. Subsequently, reactive ion etching (RIE) technique and major etch mechanisms are being described.
Farrell L., Fleischer K., Caffrey D., Mullarkey D., Norton E., Shvets I.V.
Physical Review B scimago Q1 wos Q2
2015-03-02 citations by CoLab: 67 Abstract  
Epitaxial $p$-type transparent conducting oxide (TCO) $\mathrm{C}{\mathrm{r}}_{2}{\mathrm{O}}_{3}:\mathrm{Mg}$ was grown by electron-beam evaporation in a molecular beam epitaxy system on $c$-plane sapphire. The influence of Mg dopants and the oxygen partial pressure were investigated by thermoelectric and electrical measurements. The conduction mechanism is analyzed using the small-polaron hopping model, and hopping activation energies have been determined, which vary with doping concentration in the range of 210--300 \ifmmode\pm\else\textpm\fi{} 5 meV. Films with better conductivity were obtained by postannealing. The effect of postannealing is discussed in terms of a crystallographic reordering of the Mg dopant. The highest Seebeck mobilities obtained from thermoelectric measurements are of the order of ${10}^{\ensuremath{-}4}\phantom{\rule{0.16em}{0ex}}{\mathrm{cm}}^{2}{\mathrm{V}}^{\ensuremath{-}1}{\mathrm{s}}^{\ensuremath{-}1}$. We investigate the fundamental properties of a Mg dopant in a high crystalline quality epitaxial film of a binary oxide, helping us understand the role of short range crystallographic order in a $p$-type TCO in detail.
Hossain M.N., Justice J., Lovera P., McCarthy B., O’Riordan A., Corbett B.
Nanotechnology scimago Q2 wos Q2
2014-08-12 citations by CoLab: 27 Abstract  
Wafer-scale nano-fabrication of silicon nitride (Si x N y ) photonic crystal (PhC) structures on glass (quartz) substrates is demonstrated using a thin (30 nm) chromium (Cr) layer as the hard mask for transferring the electron beam lithography (EBL) defined resist patterns. The use of the thin Cr layer not only solves the charging effect during the EBL on the insulating substrate, but also facilitates high aspect ratio PhCs by acting as a hard mask while deep etching into the Si x N y . A very high aspect ratio of 10:1 on a 60 nm wide grating structure has been achieved while preserving the quality of the flat top of the narrow lines. The presented nano-fabrication method provides PhC structures necessary for a high quality optical response. Finally, we fabricated a refractive index based PhC sensor which shows a sensitivity of 185 nm per RIU.
Liu Z., Gu X., Hwu J., Sassolini S., Olynick D.L.
Nanotechnology scimago Q2 wos Q2
2014-06-27 citations by CoLab: 13 Abstract  
The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature's shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide.
Larrieu G., Han X.-.
Nanoscale scimago Q1 wos Q1
2013-01-23 citations by CoLab: 134 Abstract  
Nanowire-based field-effect transistors are among the most promising means of overcoming the limits of today's planar silicon electronic devices, in part because of their suitability for gate-all-around architectures, which provide perfect electrostatic control and facilitate further reductions in "ultimate" transistor size while maintaining low leakage currents. However, an architecture combining a scalable and reproducible structure with good electrical performance has yet to be demonstrated. Here, we report a high performance field-effect transistor implemented on massively parallel dense vertical nanowire arrays with silicided source/drain contacts and scaled metallic gate length fabricated using a simple process. The proposed architecture offers several advantages including better immunity to short channel effects, reduction of device-to-device variability, and nanometer gate length patterning without the need for high-resolution lithography. These benefits are important in the large-scale manufacture of low-power transistors and memory devices.
Qin P., Fang G., He Q., Sun N., Fan X., Zheng Q., Chen F., Wan J., Zhao X.
2011-03-01 citations by CoLab: 45 Abstract  
Efficient organic solar cells (OSCs) based on the blends of poly(3-hexylthiophene): fullerene derivative [6,6]-phenyl-C61 butyric acid methyl ester composites have been fabricated on fluorine-doped tin oxide coated glass substrates using the sputtered nitrogen doped amorphous chromium oxide (NACO) film as a hole-transporting layer (HTL). Optimized parameters for p-type NACO layer fabrication have been obtained through argon/oxygen/nitrogen flow ratio variations. Based on the HTL, the power conversion efficiency up to 3.17% has been achieved. With the help of X-ray photoelectron spectroscopy and Hall-effect measurements, we come to a conclusion that CrN in amorphous chromium oxide (ACO) film could prevent water dissociation and hydroxylation at defect sites on the ACO film surface, and reduce the electrical resistivity of films. The stability of NACO as HTL has been improved compared with that of ACO as HTL, which can improve the performance of OSC.
Dictus D., Shamiryan D., Paraschiv V., Boullart W., De Gendt S., Vinckier C.
2010-07-01 citations by CoLab: 7 Abstract  
Uniformity and wafer-to-wafer reproducibility of plasma etch processes are often related to the conditioning of the plasma etch chamber walls. For advanced complementary metal-oxide semiconductor fabrication, numerous metals are used which might deposit on the chamber walls during etch processes and as these metals are not always straightforward to remove, process instabilities can occur. This happens because recombination of atomic species on the chamber walls determines to a certain degree the plasma composition. Therefore, in this article, the impact of metal etch residues, especially titanium and tantalum residues, on plasma composition and uniformity is studied. The chamber walls are analyzed by x-ray photoelectron spectroscopy analysis of so-called floating samples and the densities of Cl, Br, O and F in Cl2, HBr, O2, and SF6 plasmas are monitored by optical emission spectroscopy. Plasma uniformity is checked by measuring etch rates across 300 mm silicon wafers. It is found that chlorine and bromine have similar recombination probabilities on the metals than on anodized aluminum. Fluorine and oxygen recombination, however, is strongly influenced by the presence of metal residues. Accordingly, for fluorine and oxygen based plasmas, metal residues showed to have an impact on the plasma uniformity.
Wu B.
Photomask plasma etching was thoroughly reviewed over wide topics including history, equipment, etchant, absorbers, phase shifters, thermodynamics, and kinetics. Plasma etch obtained industrial applications for photomask fabrication in the 1990s and presently is a critical fabrication step for the “enabling” photomask industry. Among all types of photomasks (binary, embedded attenuated phase-shift mask, and alternating aperture phase-shift mask), chromium (Cr) containing material etching has been the basis and fundamental for all photomask etches. The main technological challenges for Cr etch occur on (isolated) dark features of a high load photomask due to the etch critical dimension (CD) bias dependence on the local loading. It determines the CD features on the Cr layer, phase shifter MoSi layer, and fused silica (quartz) layer. The CD deviation on pattern layers from the nominal value has been a challenge, especially for the Cr state-of-the-art 65nm node photomasks even though data sizing exists. Inductively coupled plasma plus bias power using radio frequency wavelength is the dominant configuration of the photomask plasma etcher, with improved loading and CD mean-to-target potential. Thermodynamic applications (Gibbs energy minimization method) on photomask plasma etch provide a quick, easy, and low cost method to estimate the plasma etch feasibility and defect reduction at different plasma gas input conditions. Empirical relationships between operational parameters and etch properties significantly improve the only design of experiment procedure for etch process optimization.
Yan Z., Ekinci H., Pan A., Cui B.
2024-05-01 citations by CoLab: 0 Abstract  
Nanocone arrays are widely employed for applications such as antireflection structures and field emission devices. Silicon nanocones are typically obtained by an etching process, but the profile is hard to attain because anisotropic dry etching generally gives vertical or only slightly tapered sidewall profiles, and isotropic dry plasma etching gives curved sidewalls. In this work, we report the fabrication of cone structures by using masked etching followed by maskless etching techniques. The silicon structure is first etched using fluorine-based plasma under the protection of a hard metal mask, with a tapered or vertical sidewall profile. The mask is then removed, and maskless etching with an optimized nonswitching pseudo-Bosch recipe is applied to achieve the cone structure with a sharp apex. The gas flow ratio of C4F8 and SF6 is significantly increased from 38:22 (which creates a vertical profile) to 56:4, creating a taper angle of approximately 80°. After subsequent maskless etching, the sidewall taper angle is decreased to 74°, and the structure is sharpened to give a pointed apex. The effect of an oxygen cleaning step is also studied. With the introduction of periodic oxygen plasma cleaning steps, both the etch rate and surface smoothness are greatly improved. Lastly, it was found that the aspect ratio-dependent etching effect becomes prominent for dense patterns of cone arrays, with a greatly reduced etch depth at a 600 nm pitch array compared to a 1200 nm pitch array.
Zhu X., Wang Z., Zhu C., Shen J., Shokouhi B., Ekinci H., Cui B.
2024-04-08 citations by CoLab: 4 Abstract  
Inductively coupled plasma etching of silicon nanostructures for metalens applications using a continuous, multi-step C4F8/SF6 plasma was investigated to achieve high aspect ratio (HAR) features down to tens of nanometers with smooth sidewalls. In the process, the ion bombardment and the free radical transport significantly change among HAR nanostructures as the etching progresses, posing challenges to profile control. With a fixed gas ratio, a change in the profile angle occurs at a depth of approximately 400 nm, transitioning from a positive taper to a negative one. Additionally, a wave-like pillar profile is produced when using three separate (i.e., plasma turned off after each step) etching processes with varying gas ratios. To optimize passivation and etching, we adopt a three-step C4F8/SF6 plasma etching process with varying gas ratios at different etching depths. By keeping the plasma on after each step, the continuous, three-step process provides more flexibility for tuning the etching of HAR nanostructures with smooth and vertical profiles. Metalens nanostructures with 71 nm diameter and 1 μm height were created using the appropriate gas ratio. The feature size variation is less than 10 nm. This proposed continuous, multi-step process improves the controllability of silicon etching in C4F8/SF6 plasma, facilitating the nanofabrication of silicon metalens and other nanodevices.
Samaan M., Ekinci H., Dey R., Zhu X., Pushin D., Cui B.
Microelectronic Engineering scimago Q2 wos Q2
2023-01-01 citations by CoLab: 3 Abstract  
The shape and dimension of atomic force microscope (AFM) probes are essential considerations to acquire high resolution images at the nanoscale. In the cases of nanostructures with high aspect ratio (HAR) features, including dot and line arrays, commercially available standard silicon AFM probes fail to provide satisfactory results. This is due to the low aspect ratio pyramidal tip profile which cannot adequately follow the sample surface. Here a simple method is introduced to convert commercially available pyramidal probes to HAR probes by combining focused ion beam (FIB) and plasma etching techniques. A bilayer metal is deposited on standard AFM probes using e-beam evaporation, followed by a quick FIB milling process to pattern the very thin top metal layer. The FIB milled metal layer is used as the hard mask to transfer the pattern to the underlying metal layer and an HAR pillar on top of the tip apex was achieved via plasma etching. Compared to the traditional HAR tip fabrication, where the tips are individually manufactured at high cost and in a time-consuming fashion, our method significantly reduces the usage of FIB milling. Additionally, this method is suitable for batch fabrication via plasma etching once the simple FIB milling step is performed. • A novel method was introduced by incorporating FIB and plasma etching techniques to convert a regular commercially available AFM probe into an HAR probe. • A bilayer metal Cr/Al was deposited on standard AFM tips and was patterned via a quick FIB milling process, resulting in an annular-shaped etching ion pattern around the tip apex. • HAR tips were achieved via Si plasma etching with a non-switching pseudo-Bosch process, which results in smooth sidewalls without the scalloping-like roughness. • The HAR tip produced with this method clearly captures images with finer details and higher accuracy than the images obtained with the standard tip. • The main advantage this fabrication method is to reduce the FIB milling material volume from the order of 1 μm 3 to 0.01 μm 3 .
Kanwal A., Ilic B.R., Ray C.H., Siebein K., Liddle J.A.
Micro and Nano Engineering scimago Q2 wos Q2 Open Access
2022-08-01 citations by CoLab: 3 Abstract  
Free standing membranes for fluidic devices typically require long etching times due to the slow, diffusion limited exchange of etchant and etch products when large etch distances are involved. In these cases, high etch selectivity is required between the sacrificial and channel-wall materials. Here, we introduce chromium oxide, Cr2O3, as a versatile sacrificial layer material for the fabrication of microfluidic and nanofluidic channels. Chromium oxide has many desirable attributes as a sacrificial layer: it can be deposited by sputtering to form stress-controlled films, it adheres well to both metal and dielectric surfaces, it is resistant to most acids and bases, but etches rapidly in standard chromium etchants, and has minimal tendencies to react with other commonly used materials. In addition, typical chromium etchants are highly selective to materials commonly used in microfabricated systems. To fully explore the process characteristics of this material we performed a comprehensive set of experiments to quantify its behavior in ways relevant to its use in device fabrication. The results presented in this paper will provide a starting point to optimize Cr2O3 for fabrication of fluidic devices.
Poletayev S.D.
2022-06-07 citations by CoLab: 0 Abstract  
The influence of the discharge power in plasma during the reactive-ion etching of massive substrates on the matching of the lower electrode with a high-frequency bias generator in freon-based plasma-forming gas mixtures is studied theoretically and experimentally. Theoretically, the effect of the influence of the discharge power on the active component of the plasma impedance is found. It is experimentally established that an increase in the discharge power in an SF6 medium leads to a monotonic decrease in the power reflection coefficient (up to the specified value of the etching unit) for the lower electrode with a loaded massive substrate without a special holder. It is found that the addition of argon to SF6 with a concentration of noе more than 15% leads to an additional decrease in the reflection coefficient at a lower discharge power.
Kulkarni D., Damiri F., Rojekar S., Zehravi M., Ramproshad S., Dhoke D., Musale S., Mulani A.A., Modak P., Paradhi R., Vitore J., Rahman M.H., Berrada M., Giram P.S., Cavalu S.
Pharmaceutics scimago Q1 wos Q1 Open Access
2022-05-20 citations by CoLab: 67 PDF Abstract  
Microneedle (MNs) technology is a recent advancement in biomedical science across the globe. The current limitations of drug delivery, like poor absorption, low bioavailability, inadequate skin permeation, and poor biodistribution, can be overcome by MN-based drug delivery. Nanotechnology made significant changes in fabrication techniques for microneedles (MNs) and design shifted from conventional to novel, using various types of natural and synthetic materials and their combinations. Nowadays, MNs technology has gained popularity worldwide in biomedical research and drug delivery technology due to its multifaceted and broad-spectrum applications. This review broadly discusses MN’s types, fabrication methods, composition, characterization, applications, recent advancements, and global intellectual scenarios.
Ekinci H., Soltani M., Jahed N.M., Zhu X., Cui B., Pushin D.
Journal of Alloys and Compounds scimago Q1 wos Q1
2021-09-01 citations by CoLab: 16 Abstract  
• E-beam evaporated chromia thin films were investigated in terms of plasma etching applications. • The effect of annealing on the microstructural, morphological, optical and surface properties were studied in depth. • Polycrystalline phase was achieved after annealing at 400 ºC and above, confirmed with XRD and SEM. • XPS reveals the polycrystalline chromia contains the 3:2 at. ratio in stoichiometry after in-situ cleaning. • Polycrystalline phase was over twice as resistant as amorphous to etching in both chlorine- and fluorine-based plasmas. Cr 2 O 3 thin films were prepared by e-beam deposition onto silicon substrates and annealed in a furnace in air ambient at a wide range of temperatures. The effect of annealing on the microstructural, morphological, optical and surface properties were studied. XRD reveals the deposited chromia is amorphous in nature. However, post-deposition annealing at elevated temperatures (400 ºC and above) resulted in a polycrystalline stoichiometric Cr 2 O 3 phase. Surface morphology and film cross-sections with respect to annealing temperature were monitored. SEM showed the amorphous films exhibited a smooth and uniform growth on the entire surface whereas the polycrystalline phases exhibited granular structures. Also, there was a thermally-grown oxide layer at the interface between the silicon substrate and the Cr 2 O 3 film approximately 64 and 190 nm high in the samples annealed at 900 and 1100 °C, respectively. EDX and XPS techniques were employed to investigate the elemental composition and surface chemical analysis. After in-situ argon sputter cleaning, XPS confirmed that the amorphous phases were slightly oxygen-rich whereas the polycrystalline samples contained approximately 60% oxygen and 40% chromium. Optical properties of the as-deposited and annealed samples were characterized by the reflectance spectra and variable angle spectroscopic ellipsometry. The crystalized samples had relatively smaller refractive indices compared to the amorphous samples, which may be due to the increase in crystallinity, as well as the surface morphology and texture of the annealed samples. Finally, the etch robustness of Cr 2 O 3 as a hard mask material was tested in major plasma chemistries. The polycrystalline films were significantly more resistant to plasma etching than the amorphous films. The differences between the etching rates of amorphous and crystalline films were attributed to the increase in the degree of crystallinity of the chromia films as a result of annealing.

Top-30

Journals

1
2
1
2

Publishers

1
2
3
1
2
3
  • We do not take into account publications without a DOI.
  • Statistics recalculated only for publications connected to researchers, organizations and labs registered on the platform.
  • Statistics recalculated weekly.

Are you a researcher?

Create a profile to get free access to personal recommendations for colleagues and new articles.
Share
Cite this
GOST | RIS | BibTex
Found error?