Journal of Vacuum Science and Technology B, volume 38, issue 1, pages 12207

Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar fabrication

Publication typeJournal Article
Publication date2020-01-01
scimago Q3
SJR0.328
CiteScore2.7
Impact factor1.5
ISSN21662746, 21662754
Materials Chemistry
Surfaces, Coatings and Films
Electronic, Optical and Magnetic Materials
Process Chemistry and Technology
Electrical and Electronic Engineering
Instrumentation
Abstract
High aspect ratio silicon structures have gained significant interest due to their vast applications. Minimal lateral etch under the mask is essential to achieve such high aspect ratio structures. Previously, the authors reported that chromium oxide is better than metallic chromium as a hard mask for silicon etching in terms of etch rate and selectivity to resist during mask structure fabrication. Here, it is reported that a metal oxide etch mask also gives less lateral etch than a metal etch mask. Following mask structure fabrication by electron beam lithography and lift-off, silicon was etched using a nonswitching (i.e., SF6 and C4F8 gases simultaneously injected into a chamber) pseudo-Bosch process. The amount of lateral etching right underneath the mask is less (roughly half) for Cr2O3 and Al2O3 masks than Cr or Al masks. One plausible explanation for the difference is the metal-assisted plasma etching effect where the metal catalyzes the chemical reaction by injecting holes into the silicon in contact. It is also reported that a higher bias power leads to less undercut than a lower one, due to increased and more directional physical bombardment by ions.High aspect ratio silicon structures have gained significant interest due to their vast applications. Minimal lateral etch under the mask is essential to achieve such high aspect ratio structures. Previously, the authors reported that chromium oxide is better than metallic chromium as a hard mask for silicon etching in terms of etch rate and selectivity to resist during mask structure fabrication. Here, it is reported that a metal oxide etch mask also gives less lateral etch than a metal etch mask. Following mask structure fabrication by electron beam lithography and lift-off, silicon was etched using a nonswitching (i.e., SF6 and C4F8 gases simultaneously injected into a chamber) pseudo-Bosch process. The amount of lateral etching right underneath the mask is less (roughly half) for Cr2O3 and Al2O3 masks than Cr or Al masks. One plausible explanation for the difference is the metal-assisted plasma etching effect where the metal catalyzes the chemical reaction by injecting holes into the silicon in contac...
Lin Y., Chen Y., Hsueh C.
Results in Physics scimago Q2 wos Q1 Open Access
2019-03-01 citations by CoLab: 12 Abstract  
A simple and low-cost method using the combination of metal-assisted chemical etching (MacEtch) and anisotropic wet etching was performed to fabricate anti-reflection inverted pyramidal cavities on dendrite-like textured silicon substrates. To achieve this, a thin Ag film was deposited on an n-type (100) silicon substrate to form agglomerated Ag particles and MacEtch was performed to obtain vertically aligned etching holes on Si substrate. Subsequently, anisotropic wet etching was conducted and the etchant would penetrate the porous structure to form inverted pyramidal cavities on the dendrite-like structure. Using this two-step etching, excellent anti-reflection behavior was obtained for our textured substrates.
Sun J.B., Almquist B.D.
Advanced Materials Interfaces scimago Q1 wos Q2 Open Access
2018-10-21 citations by CoLab: 9 Abstract  
For decades, fabrication of semiconductor devices has utilized well-established etching techniques to create complex nanostructures in silicon. The most common dry process is reactive ion etching which fabricates nanostructures through the selective removal of unmasked silicon. Generalized enhancements of etching have been reported with mask-enhanced etching with Al, Cr, Cu, and Ag masks, but there is a lack of reports exploring the ability of metallic films to catalytically enhance the local etching of silicon in plasmas. Here, metal-assisted plasma etching (MAPE) is performed using patterned nanometers-thick gold films to catalyze the etching of silicon in an SF6/O2 mixed plasma, selectively increasing the rate of etching by over 1000%. The catalytic enhancement of etching requires direct Si-metal interfacial contact, similar to metal-assisted chemical etching (MACE), but is different in terms of the etching mechanism. The mechanism of MAPE is explored by characterizing the degree of enhancement as a function of Au catalyst configuration and relative oxygen feed concentration, along with the catalytic activities of other common MACE metals including Ag, Pt, and Cu.
Aydinoglu F., Saffih F., Dey R.K., Cui B.
2017-10-30 citations by CoLab: 13 Abstract  
In nanofabrication, use of thin resist is required to achieve very high resolution features. But thin resist makes pattern transferring by dry etching difficult because typical resist has poor resistance to plasma etching. One widely employed strategy is to use an intermediate hard mask layer, with the pattern first transferred into this layer, then into the substrate or sublayer. Cr is one of the most popular hard etching mask materials because of its high resistance to plasma etching. Cr etching is carried out in O2 and Cl2 or CCl4 environment to form the volatile etching product CrO2Cl2, but addition of O2 gas leads to fast resist etching. In this work, the authors show that Cr2O3 can be etched readily in a Cl2/O2 gas mixture with less oxygen than needed for Cr etching, because Cr2O3 contains oxygen by itself. Thus it is easier to transfer the resist pattern into Cr2O3 than into Cr. For the subsequent pattern transferring into the substrate here silicon using nonswitching pseudo-Bosch inductively coupled plasma-reactive ion etching with SF6/C4F8 gas and Cr or Cr2O3 as mask, it was found that the two materials have the same etching resistance and selectivity of 100:1 over silicon. Therefore, Cr2O3 is a more suitable hard mask material than Cr for pattern transferring using dry plasma etching.
Ayari-Kanoun A., Aydinoglu F., Cui B., Saffih F.
2016-10-05 citations by CoLab: 20 Abstract  
Dry etching of silicon has been extensively studied, mostly with a goal of obtaining perfectly vertical sidewalls with high aspect ratio. Yet, sloped sidewall with a negative taper angle (i.e., diameter/width decreases linearly with depth) may find various applications. However, the systematic study on the etching process development to obtain such a profile is rather scarce. In this work, the authors present a controlled and reproducible fabrication process to achieve silicon nanostructures with negatively tapered sidewall profile using inductively coupled plasma-reactive ion etching with C4F8 and SF6 gas. The plasma etching parameters have been thoroughly optimized in order to avoid the undercut or curved reentrant profile due to isotropic etching, so as to achieve a negatively tapered profile. The influence of the plasma etching parameters, especially the radio freguency power and C4F8/SF6 gas flow ratio, on the etching rate and the sidewall taper angle has been analyzed. With an optimal etching recipe, the silicon nanostructures with an unprecedented large 10° negative taper angle were achieved. These results were demonstrated on different structure sizes of 500 nm, 700 nm, and 1.2 μm diameters.
Saffih F., Con C., Alshammari A., Yavuz M., Cui B.
2014-11-01 citations by CoLab: 30 Abstract  
Micro- and nanostructures with a tapered sidewall profile are important for antireflection and light trapping applications in solar cell, light emitting diode, and photodetector/imager. Here, the authors will show two etching processes that offer a large taper angle. The first process involved a mask-less etching of pre-etched silicon structures having a vertical profile, using a recipe that would give a vertical profile when masked. The authors obtained a moderate taper angle of 14° using CF4/O2 etching gas. The second process involved a one-step etching step with Cr as mask using a recipe that was drastically modified from a nonswitching pseudo-Bosch process that gives a vertical profile. The gas flow ratio of C4F8/SF6 was greatly increased from 38/22 to 59/1 to result in a taper angle of 22°. Further reduction of the RF bias power led to an unprecedented large taper angle of 39° (at the cost of greatly reduced etching rate), which is even higher than the angle obtained by anisotropic wet etching of silicon.
Han H., Huang Z., Lee W.
Nano Today scimago Q1 wos Q1
2014-06-01 citations by CoLab: 391 Abstract  
Silicon nanostructures exhibit promising application potentials in many fields in comparison with their bulk counterpart or other semiconductor nanostructures. Therefore, the exploiting of controllable fabrication methods of silicon nanostructures, and the exploring of further applications of silicon nanostructures gain extensive attentions. In this review, recent advances in metal-assisted chemical etching of silicon, a low-cost and versatile method enabling fine control over morphology feature of silicon nanostructures, are summarized. The overview concerning the applications of silicon nanostructures in the field of energy conversion and storage, and sensors are also presented.
Con C., Zhang J., Cui B.
Nanotechnology scimago Q2 wos Q2
2014-04-09 citations by CoLab: 19 Abstract  
Organic electron beam resists are typically not resistant to the plasma etching employed to transfer the pattern into the underlying layer. Here, the authors present the incorporation of a metal hard mask material into negative resist polystyrene by co-evaporation of the polystyrene and the metal onto a substrate. With a volume ratio of 1:15 between Cr and polystyrene, this nanocomposite resist showed an etching selectivity to silicon one order higher than pure polystyrene resist. Silicon structures of 100 nm width and 3.5 μm height (aspect ratio 1:35) were obtained using a non-switching deep silicon etching recipe with SF6 and C4F8 gas. Moreover, unlike the common spin coating method, evaporated nanocomposite resist can be coated onto irregular and non-flat surfaces such as optical fibers and AFM cantilevers. As a proof of concept, we fabricated high aspect ratio structures on top of an AFM cantilever. Nanofabrication on non-flat surfaces may find applications in the fields of (AFM) tip enhanced Raman spectroscopy for chemical analysis and lab-on-fiber technology.
Donnelly V.M., Kornblit A.
2013-09-01 citations by CoLab: 673 Abstract  
The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly,the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon,silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.
Huang Z., Geyer N., Werner P., de Boor J., Gösele U.
Advanced Materials scimago Q1 wos Q1
2010-09-21 citations by CoLab: 1666 Abstract  
This article presents an overview of the essential aspects in the fabrication of silicon and some silicon/germanium nanostructures by metal-assisted chemical etching. First, the basic process and mechanism of metal-assisted chemical etching is introduced. Then, the various influences of the noble metal, the etchant, temperature, illumination, and intrinsic properties of the silicon substrate (e.g., orientation, doping type, doping level) are presented. The anisotropic and the isotropic etching behaviors of silicon under various conditions are presented. Template-based metal-assisted chemical etching methods are introduced, including templates based on nanosphere lithography, anodic aluminum oxide masks, interference lithography, and block-copolymer masks. The metal-assisted chemical etching of other semiconductors is also introduced. A brief introduction to the application of Si nanostructures obtained by metal-assisted chemical etching is given, demonstrating the promising potential applications of metal-assisted chemical etching. Finally, some open questions in the understanding of metal-assisted chemical etching are compiled.
Henry M.D., Walavalkar S., Homyk A., Scherer A.
Nanotechnology scimago Q2 wos Q2
2009-06-02 citations by CoLab: 110 Abstract  
We introduce using sputtered aluminum oxide (alumina) as a resilient etch mask for fluorinated silicon reactive ion etches. Achieving selectivity of 5000:1 for cryogenic silicon etching and 68:1 for SF(6)/C(4)F(8) silicon etching, we employ this mask for fabrication of high-aspect-ratio silicon micropillars and nanopillars. Nanopillars with diameters ranging from below 50 nm up to several hundred nanometers are etched to heights greater than 2 microm. Micropillars of 5, 10, 20, and 50 microm diameters are etched to heights of over 150 microm with aspect ratios greater than 25. Processing and characterization of the sputtered alumina is also discussed.
Boufnichel M., Lefaucheux P., Aachboun S., Dussart R., Ranson P.
Microelectronic Engineering scimago Q2 wos Q2
2005-04-01 citations by CoLab: 47 Abstract  
The aim of this work is to demonstrate the ability of our system to etch deep high aspect ratio trenches (HART's) with a high etch rate (>[email protected]/min), high selectivity, no local bowing [M. Boufnichel, Gravure profonde cryogenique du silicium dans un reacteur ICP utilisant une chimie SF6/O2. Application pour la mise au point d'un procede d'isolation electrique sur plaquette SOI, Thesis of the University of Orleans in France, defended on December 2002; M. Boufnichel, S. Aachboun, F. Grangeon, P. Lefaucheux, P. Ranson, J. Vac. Sci. Technol. B 20 (4) (2002) 1508-1512; M. Boufnichel, S. Aachboun, P. Lefaucheux, P. Ranson, J. Vac. Sci. Technol. B 21 (1) (2003) 267-273] and with a perfect mask pattern transfer on silicon, which means no undercut. The process of plasma cryogenic dry etching can be considered as the key technology for micro- and nano-engineering as in the case of, e.g., trench capacitors, and trench isolation for vertical transistors. The most important motivation of this work is advancement of the trench cryogenic technology. The basic aspects of pattern transfer of the prepared mask-patterns by plasma etching into the substrate, considering plasma chemistry, gas flow, substrate temperature, pressure, bias voltage, RF source power, mask nature and thickness will be presented. Special attention will be given to discuss the physical and/or chemical phenomena, which are involved in the generation of undercut in features with high aspect ratios. Sidewall etching of ntype silicon in ICP SF6/O2 discharges is completely suppressed by cooling the sample to about 100^oC during process and using an over-passivation step at the beginning of the process.
Boufnichel M., Aachboun S., Lefaucheux P., Ranson P.
Profile control of deep and narrow anisotropic trenches in silicon has been investigated in a low-pressure high-density plasma reactor working with a SF6/O2 plasma mixture. The aim is to achieve deep trenches with high aspect ratio (depth/width>10), high anisotropy, high selectivity (silicon/SiO2 mask>300:1), high etch rate (>5 μm/min) and with no local bowing. This study focuses on a cryogenic etching method. In the previous article [M. Boufnichel et al., J. Vac. Sci. Technol. B 20, 1508 (2002)] we presented a parametrical study of the evolution of local bowing as a function of the process conditions. Here we present a complete study of the mechanisms involved in the creation of local bowing at the top of deep narrow trenches, and in particular the effect (influence) of the characteristics (nature, thickness, and side slope) on local bowing. Explanations for the appearance of local bowing are given and targeted experiments are performed to eliminate it. The final performance shows that we are now able to etch a minimum of 60 μm in depth for a 4 μm trench aperture with no local bowing at a high etch rate of about 5.5 μm/min with a cryogenic method in which no cleaning step is necessary unlike for the Bosh process [M. J. Walker, Proc. SPIE 4407 (2001)].
Schaepkens M., Oehrlein G.S.
2002-07-26 citations by CoLab: 104 Abstract  
A comprehensive overview of results from mechanistic studies on plasma-surface interactions in inductively coupled fluorocarbon plasmas, which are currently widely used for the SiO 2 etching process in semiconductor device manufacturing industry, is presented. The plasma-surface interactions that are covered in this overview range from interactions at the plasma reactor wall and coupling window, which affect the plasma gas phase, to interactions at the substrate level which determine the etching of both blanket surfaces and microscopic features, In particular, the effects of reactor wall temperature and parasitic capacitive coupling on the SiO 2 etching process are addressed. Further, the mechanism of selective SiO 2 to Si and Si 3 N 4 etching on blanket and inclined surfaces is discussed, Finally, it is shown how the SiO 2 etch process in high aspect ratio microstructures differs from the etch process on blanket surfaces.
Jansen H., de Boer M., Burger J., Legtenberg R., Elwenspoek M.
Microelectronic Engineering scimago Q2 wos Q2
1995-02-01 citations by CoLab: 111 Abstract  
Very deep trenches in Si with smooth controllable profiles are etched using a fluorine-based Reactive Ion Etcher(RIE). The effect of various mask materials and loading on the profile is examined using the Black Silicon Method. It is found that most metal layers have an almost infinite selectivity. When the aspect ratio of the trenches is beyond five, RIE lag is found to be an important effect. Evidence is found that this effect is caused by the bowing of incoming ions by the electrical field.
Singh V.K.
This article describes an investigation of the etching of polysilicon in a CF4/O2 plasma. The ‘‘undercut’’ observed in etch profiles is related to the surface transport of reaction precursors. The possible mechanisms for this transport include surface re-emission and surface diffusion of the precursors. Simulations of profile evolution, conducted with both mechanisms, are compared with experimental results. The surface reemission simulations are found to predict experimental profile evolution accurately, whereas surface diffusion simulations require unphysical values for the surface diffusion length. Novel test structures have been fabricated and etched under the same conditions as used for trench etching. Surface re-emission simulations accurately predict the etch rate deep inside the shadowed cavity of different structures. On the other hand, simulations assuming surface diffusion to be dominant do not capture even the qualitative trends in test structure etching. This is strong evidence that surface re-emission is the dominant mechanism for transport of etch precursors in CF4/O2 plasmas.
Thomas N., Swaminathan P.
2025-03-28 citations by CoLab: 0 Abstract  
Abstract Wet etching in hydrofluoric acid (HF) is one of the most common routes for the surface texturing of silica, leading to improved optical properties, which find applications in several fields. In this work, wet etching of silica is mediated by the deposition of chemically synthesized gold nanoparticles on the substrate. Nanoparticles of different sizes are coated on silica and act as a mask when etching using HF. The effect of parameters such as etching time, nanoparticle size, and HF concentration on the surface morphologies and transmittance are studied and correlated with the chemical etching mechanism. This work reveals that a proper choice of masking and etching conditions can reduce the optical transmission of silica. Etching leads to the formation of arrays of micron-size elongated pits. The pit width and surface roughness are found to increase with etching time and HF concentration, in turn leading to a decrease in transmittance. The results show that nanoparticle masking is an effective way to modulate silica etching and in turn, reduce the transmittance of the substrate.
Wu B., Dong L., Liu M., Hu J.
2025-01-21 citations by CoLab: 0 Abstract  
Abstract Anisotropic wettability of bionic structures is often achieved through anisotropic patterns, so the parameters of that are key to managing surface wettability behaviours. In this work, we fabricate three kinds of one-dimensional (1D) stripe structures with distinct profile shapes for studing the method of controlling anisotropic wettability behaviours of water droplets. By controlling their profiles and depths, the anisotropic wettability of stripes can be effectively managed and mitigated. Even with the same stripe periods, we can achieve two opposing wetting effects, namely isotropic hydrophobicity and anisotropic hydrophilicity. The experiments show that the π-shaped stripes possess isotropic superhydrophobicity, and their adhesion force to water droplets in the direction perpendicular to the groove is approximately ten times that in the parallel direction. Meanwhile, the willow-leaf-shaped stripes show anisotropic hydrophilic properties and good ductility to water droplets. Taking advantage of inductively coupled plasma-reactive ion etching (ICP-RIE) with a low undercut, this method provides a novel perspective on the design of interfaces for droplet manipulation, pick-and-place applications, and the localised control of reactions.
Labani M., Clericò V., Diez E., Gatti G., Amado M., Pérez-Rodríguez A.
Nanomaterials scimago Q1 wos Q2 Open Access
2024-11-26 citations by CoLab: 1 PDF Abstract  
The ability to manufacture complex 3D structures with nanometer-scale resolution, such as Fresnel Zone Plates (FZPs), is crucial to achieve state-of-the-art control in X-ray sources for use in a diverse range of cutting-edge applications. This study demonstrates a novel approach combining Electron Beam Lithography (EBL) and cryoetching to produce silicon-based FZP prototypes as a test bench to assess the strong points and limitations of this fabrication method. Through this method, we obtained FZPs with 100 zones, a diameter of 20 µm, and an outermost zone width of 50 nm, resulting in a high aspect ratio that is suitable for use across a range of photon energies. The process incorporates a chromium mask in the EBL stage, enhancing microstructure precision and mitigating pattern collapse challenges. This minimized issues of under- and over-etching, producing well-defined patterns with a nanometer-scale resolution and low roughness. The refined process thus holds promise for achieving improved optical resolution and efficiency in FZPs, making it viable for the fabrication of high-performance, nanometer-scale devices.
Baradel B., Léon O., Méry F., Combette P., Giani A.
2024-11-21 citations by CoLab: 0 Abstract  
Abstract Silicon machining plays a crucial role in shaping three-dimensional structures for Micro-Electro-Mechanical Systems (MEMS) applications. This study investigates Aspect Ratio Dependent Etching (ARDE) across various silicon etching processes, with a particular focus on Xenon Difluoride (XeF2) etching, in comparison to Reactive Ion Etching (RIE) and Deep Reactive Ion Etching (DRIE).By exploring different etching parameters, the study highlights the presence of ARDE in both plasma and non-plasma etching processes. Additionally, it is demonstrated that ARDE can be modeled by a saturating exponential function through experimental adjustment of parameters, enabling the prediction of etching profiles.
Mukherjee S., Elsayed M.Y., Tawfik H.H., El-Gamal M.N.
Sensors scimago Q1 wos Q2 Open Access
2024-11-06 citations by CoLab: 1 PDF Abstract  
Silicon nanowires (SiNWs) have garnered considerable attention in the last few decades owing to their versatile applications. One extremely desirable aspect of fabricating SiNWs is controlling their dimensions and alignment. In addition, strict control of surface roughness or diameter modulation is another key parameter for enhanced performance in applications such as photovoltaics, thermoelectric devices, etc. This study investigates a method of fabricating silicon nanowires using electron beam lithography (EBL) and the deep reactive ion etching (DRIE) Bosch process to achieve precisely controlled fabrication. The fabricated nanowires had a pitch error within 2% of the pitch of the direct writing mask. The maximum error in the average diameter was close to 25%. The simplified two-step method with tight control of the dimensions and surface tunability presents a reliable technique to fabricate vertically aligned SiNWs for some targeted applications.
Yan Z., Ekinci H., Pan A., Cui B.
2024-05-01 citations by CoLab: 0 Abstract  
Nanocone arrays are widely employed for applications such as antireflection structures and field emission devices. Silicon nanocones are typically obtained by an etching process, but the profile is hard to attain because anisotropic dry etching generally gives vertical or only slightly tapered sidewall profiles, and isotropic dry plasma etching gives curved sidewalls. In this work, we report the fabrication of cone structures by using masked etching followed by maskless etching techniques. The silicon structure is first etched using fluorine-based plasma under the protection of a hard metal mask, with a tapered or vertical sidewall profile. The mask is then removed, and maskless etching with an optimized nonswitching pseudo-Bosch recipe is applied to achieve the cone structure with a sharp apex. The gas flow ratio of C4F8 and SF6 is significantly increased from 38:22 (which creates a vertical profile) to 56:4, creating a taper angle of approximately 80°. After subsequent maskless etching, the sidewall taper angle is decreased to 74°, and the structure is sharpened to give a pointed apex. The effect of an oxygen cleaning step is also studied. With the introduction of periodic oxygen plasma cleaning steps, both the etch rate and surface smoothness are greatly improved. Lastly, it was found that the aspect ratio-dependent etching effect becomes prominent for dense patterns of cone arrays, with a greatly reduced etch depth at a 600 nm pitch array compared to a 1200 nm pitch array.
Lee D., Ryu H., Jin M., Um D., Kim C.
2024-04-23 citations by CoLab: 0 Abstract  
The process of texturing silicon surfaces is critical for enhancing the performance of complementary metal–oxide–semiconductor image sensors that utilize silicon-based photodetectors. Traditional wet etching methods using strong acids or alkaline solutions have been commonly used but present challenges in precision, particularly for microscopic devices. As a viable alternative, dry etching processes using patterned metals and plasma are being explored. However, extensive studies across various metals are necessary. This study introduces a silicon nanotexturing process using silver nanowires and Cl2-based plasma. The etching mechanism involves accelerated etching through eddy currents and hole injection coupled with a diffusion phenomenon of silver. In this study, we examined variations in the etching profile with respect to etching time, upper and bottom radio-frequency powers, and process pressure. Additionally, we analyzed the effects of ion bombardment, enhanced by the introduction of Ar gas. The findings are expected to significantly contribute to the improvement of micro-optoelectronic devices.
Xu J., Refino A.D., Delvallée A., Seibert S., Schwalb C., Hansen P.E., Foldyna M., Siaudinyte L., Hamdana G., Wasisto H.S., Kottmeier J., Dietzel A., Weimann T., Prüssing J.K., Bracht H., et. al.
Applied Physics Reviews scimago Q1 wos Q1 Open Access
2024-04-17 citations by CoLab: 15 PDF Abstract  
The pursuit of sculpting materials at increasingly smaller and deeper scales remains a persistent subject in the field of micro- and nanofabrication. Anisotropic deep-reactive ion etching of silicon at cryogenic temperatures (cryo-DRIE) was investigated for fabricating arrays of vertically aligned Si nanowires (NWs) of a large range of dimensions from micrometers down to 30 nm in diameter, combined with commonly used wafer-scale lithography techniques based on optical, electron-beam, nanoimprint, and nanosphere/colloidal masking. Large selectivity of ∼100 to 120 and almost 700 was found with resists and chromium hard masks, respectively. This remarkable selectivity enables the successful transfer of patterned geometries while preserving spatial resolution to a significant extent. Depending on the requirements by applications, various shapes, profiles, and aspect ratios were achieved by varying process parameters synchronously or asynchronously. High aspect ratios of up to 100 comparable to the best result by metal-assisted wet-chemical etching and sub-μm trenches by DRIE were obtained with NW diameter of 200 nm, at an etch rate of ∼4 μm/min without being collapsed. At the same time, low surface roughness values were maintained on the NW top, sidewall, and bottom surface of ∼0.3, ∼13, and ∼2 nm, respectively, as well as high pattern fidelity and integrity, which were measured using angle-resolved Fourier microscopy, combined atomic force, and scanning electron microscopy on selected NWs. This work establishes the foundation in the controllable development of Si nanoarchitectures, especially at sub-100 nm structures, for energy-harvesting and storage, damage-free optoelectronics, quantum, photovoltaics, and biomedical devices.
Zhu X., Wang Z., Zhu C., Shen J., Shokouhi B., Ekinci H., Cui B.
2024-04-08 citations by CoLab: 4 Abstract  
Inductively coupled plasma etching of silicon nanostructures for metalens applications using a continuous, multi-step C4F8/SF6 plasma was investigated to achieve high aspect ratio (HAR) features down to tens of nanometers with smooth sidewalls. In the process, the ion bombardment and the free radical transport significantly change among HAR nanostructures as the etching progresses, posing challenges to profile control. With a fixed gas ratio, a change in the profile angle occurs at a depth of approximately 400 nm, transitioning from a positive taper to a negative one. Additionally, a wave-like pillar profile is produced when using three separate (i.e., plasma turned off after each step) etching processes with varying gas ratios. To optimize passivation and etching, we adopt a three-step C4F8/SF6 plasma etching process with varying gas ratios at different etching depths. By keeping the plasma on after each step, the continuous, three-step process provides more flexibility for tuning the etching of HAR nanostructures with smooth and vertical profiles. Metalens nanostructures with 71 nm diameter and 1 μm height were created using the appropriate gas ratio. The feature size variation is less than 10 nm. This proposed continuous, multi-step process improves the controllability of silicon etching in C4F8/SF6 plasma, facilitating the nanofabrication of silicon metalens and other nanodevices.
Chen W., Lee Y.
Nanotechnology scimago Q2 wos Q2
2023-10-04 citations by CoLab: 1 Abstract  
Abstract This paper reports a new type of nanoimprinting method called bilayer nanoimprinting lithography (BL-NIL), which can work along with metal-assisted chemical etching (MaCE) for fabricating nanostructures on silicon. In contrast to conventional nanoimprinting techniques, BL-NIL adds an interposing layer between the imprinting resist layer and silicon substrate. After the standard imprinting process, dry etching was used to etch away the residual imprinting layer and part of the interposing layer. Finally, the remaining interposing layer was wet-etched using its remover. This innovative approach can ensure cleanliness at the metal/silicon interface after metal lift-off processes, and therefore guarantees the success of MaCE. By combining BL-NIL and MaCE, expensive silicon molds with sub-micrometer/nanometer-scale feature sizes can be easily replicated and preserved. This is important for the application of nanoimprinting technologies in industrial manufacturing.
Zhang Y., Wu Y., Sun Q., Shen L., Lan J., Guo L., Shen Z., Wang X., Xiao J., Xu J.
Micromachines scimago Q2 wos Q2 Open Access
2023-04-14 citations by CoLab: 4 PDF Abstract  
Micro-optical gyroscopes (MOGs) place a range of components of the fiber-optic gyroscope (FOG) onto a silicon substrate, enabling miniaturization, low cost, and batch processing. MOGs require high-precision waveguide trenches fabricated on silicon instead of the ultra-long interference ring of conventional F OGs. In our study, the Bosch process, pseudo-Bosch process, and cryogenic etching process were investigated to fabricate silicon deep trenches with vertical and smooth sidewalls. Different process parameters and mask layer materials were explored for their effect on etching. The effect of charges in the Al mask layer was found to cause undercut below the mask, which can be suppressed by selecting proper mask materials such as SiO2. Finally, ultra-long spiral trenches with a depth of 18.1 μm, a verticality of 89.23°, and an average roughness of trench sidewalls less than 3 nm were obtained using a cryogenic process at −100 °C.
Lill T., Berry I.L., Shen M., Hoang J., Fischer A., Panagopoulos T., Chang J.P., Vahedi V.
2023-02-27 citations by CoLab: 21 Abstract  
In this article, we give an overview about the chemical and physical processes that play a role in etching at lower wafer temperatures. Conventionally, plasma etching processes rely on the formation of radicals, which readily chemisorb at the surface. Molecules adsorb via physisorption at low temperatures, but they lack enough energy to overcome the energy barrier for a chemical reaction. The density of radicals in a typical plasma used in semiconductor manufacturing is one to two orders of magnitude lower than the concentration of the neutrals. Physisorption of neutrals at low temperatures, therefore, increases the neutral concentration on the surface meaningfully and contributes to etching if they are chemically activated. The transport of neutrals in high aspect ratio features is enhanced at low temperatures because physisorbed species are mobile. The temperature window of low temperature etching is bracketed at the low end by condensation including capillary effects and diminished physisorption at the high end. The useful temperature window is chemistry dependent. Besides illuminating the fundamental effects, which make low temperature processing unique, this article illustrates its utility for semiconductor etching applications.
Liu X., Radfar B., Chen K., Setala O.E., Pasanen T.P., Yli-Koski M., Savin H., Vahanissi V.
2022-08-01 citations by CoLab: 28 Abstract  
In semiconductor manufacturing, black silicon (bSi) has traditionally been considered as a sign of unsuccessful etching. However, after more careful consideration, many of its properties have turned out to be so superior that its integration into devices has become increasingly attractive. In devices where bSi covers the whole wafer surface, such as solar cells, the integration is already rather mature and different bSi fabrication technologies have been studied extensively. Regarding the integration into devices where bSi should cover only small selected areas, existing research focuses on device properties with one specific bSi fabrication method. Here, we fabricate bSi patterns with varying dimensions ranging from millimeters to micrometers using three common bSi fabrication techniques, i.e., plasma etching, metal-assisted chemical etching (MACE) and femtosecond-laser etching, and study the corresponding fabrication characteristics and resulting material properties. Our results show that plasma etching is the most suitable method in the case of $\mu \text{m}$ -scale devices, while MACE reaches surprisingly almost the same performance. Femtosecond-laser has potential due to its maskless nature and capability for hyperdoping, however, in this study its moderate accuracy, large silicon consumption and spreading of the etching damage outside the bSi region leave room for improvement.
Refino A.D., Yulianto N., Syamsu I., Nugroho A.P., Hawari N.H., Syring A., Kartini E., Iskandar F., Voss T., Sumboja A., Peiner E., Wasisto H.S.
Scientific Reports scimago Q1 wos Q1 Open Access
2021-10-05 citations by CoLab: 56 PDF Abstract  
Production of high-aspect-ratio silicon (Si) nanowire-based anode for lithium ion batteries is challenging particularly in terms of controlling wire property and geometry to improve the battery performance. This report demonstrates tunable optimization of inductively coupled plasma reactive ion etching (ICP-RIE) at cryogenic temperature to fabricate vertically-aligned silicon nanowire array anodes with high verticality, controllable morphology, and good homogeneity. Three different materials [i.e., photoresist, chromium (Cr), and silicon dioxide (SiO2)] were employed as masks during the subsequent photolithography and cryogenic ICP-RIE processes to investigate their effects on the resulting nanowire structures. Silicon nanowire arrays with a high aspect ratio of up to 22 can be achieved by tuning several etching parameters [i.e., temperature, oxygen/sulfur hexafluoride (O2/SF6) gas mixture ratio, chamber pressure, plasma density, and ion energy]. Higher compressive stress was revealed for longer Si wires by means of Raman spectroscopy. Moreover, an anisotropy of lattice stress was found at the top and sidewall of Si nanowire, indicating compressive and tensile stresses, respectively. From electrochemical characterization, half-cell battery integrating ICP-RIE-based silicon nanowire anode exhibits a capacity of 0.25 mAh cm−2 with 16.67% capacity fading until 20 cycles, which has to be improved for application in future energy storage devices.

Top-30

Journals

1
2
1
2

Publishers

1
2
3
4
1
2
3
4
  • We do not take into account publications without a DOI.
  • Statistics recalculated only for publications connected to researchers, organizations and labs registered on the platform.
  • Statistics recalculated weekly.

Are you a researcher?

Create a profile to get free access to personal recommendations for colleagues and new articles.
Share
Cite this
GOST | RIS | BibTex | MLA
Found error?