Journal of Applied Physics, volume 133, issue 11, pages 113306

Cryogenic etching of silicon compounds using a CHF3 based plasma

Publication typeJournal Article
Publication date2023-03-21
scimago Q2
SJR0.649
CiteScore5.4
Impact factor2.7
ISSN00218979, 10897550
General Physics and Astronomy
Abstract

Cryogenic etching of a-Si, SiO2, and Si3N4 materials by CHF3/Ar inductively coupled plasma is investigated in a range of temperature from −140 to +20 °C. Samples of the three different materials are placed together on the same silicon carrier wafer. Depending on the experimental conditions, etching or deposition regimes were obtained on the samples. The thickness variation was measured by spectroscopic ellipsometry. A process window between −120 and −80 °C was found in which the Si3N4 surface is etched while CFx deposition is obtained on a-Si and SiO2 surfaces, resulting in the infinite etching selectivity of Si3N4 to the other materials. At high enough self-bias (−120 V) and very low temperature (<−130 °C), Si3N4 etch is reduced down to a very low value, while a-Si and SiO2 are still being etched, which inverses the selectivity between Si3N4 and the two other materials. EDX analyses of a Si3N4/a-Si/SiO2 layer stack after the same etching process carried out at 20 and −100 °C confirm the presence of carbon and fluorine on a-Si at low temperature, showing the effect of the low temperature to switch from the etching to deposition regime on this material.

Antoun G., Tillocher T., Girard A., Lefaucheux P., Faguet J., Kim H., Zhang D., Wang M., Maekawa K., Cardinaud C., Dussart R.
2022-08-26 citations by CoLab: 7 Abstract  
This article first presents quasi- in situ XPS measurements on Si3N4 and a-Si samples after exposure to an SiF4/O2 plasma at different cryogenic temperatures. A different behavior is observed between the two materials at −65 °C, which has led to the development of a time-multiplexed process for nanoscale etching. This study clearly shows the possibility to switch from a deposition regime to an etching regime by decreasing the temperature. The threshold temperature between these regimes being different for both materials, it was possible to perform selective etching of Si3N4 over a-Si by wisely choosing the temperature.
Reiter T., Klemenschits X., Filipovic L.
Solid-State Electronics scimago Q3 wos Q4
2022-06-01 citations by CoLab: 9 Abstract  
• Plasma induced etching damage damages the crystallinity in Silicon substrate. • The damage is stored during the etching simulation as a surface property. • A low-energy post-etch treatment removes the damaged layer. • Selective epitaxial growth depends on crystalline quality of Silicon substrate. A physical process model for dry plasma etching is presented and applied to simulate vertical channel hole etching, a critical fabrication step in modern three-dimensional (3D) NAND flash memory. The presence of physical etching with high energy ions is shown to induce damage in the underlying silicon, which results in the formation of voids during the subsequent selective epitaxial growth (SEG) step. In this manuscript, we present a model for ion induced damage by storing it as a surface property during the plasma etching simulation. A specialized advection algorithm is subsequently applied to simulate silicon SEG on the bottom source line. The model clearly shows the damage caused by the high energy particles, on the crystal nature of silicon, resulting in poor coverage during the SEG step. The removal of this damaged layer using lower energy plasmas results in highly crystalline epitaxially grown silicon. The simulation results show excellent agreement with experiments in the formation of undesired voids without the low-energy pre-epitaxial plasma treatment.
Antoun G., Girard A., Tillocher T., Lefaucheux P., Faguet J., Maekawa K., Cardinaud C., Dussart R.
2022-01-01 citations by CoLab: 6 Abstract  
A silicon oxyfluoride layer was deposited on a-Si samples using SiF4/O2 plasma at different temperatures between −100°C and −40 °C. In situ X-ray photoelectron spectroscopy measurements were then performed to characterize the deposited layer. The sample was then brought back to room temperature and analyzed again. It has been shown that a temperature below −65 °C is needed to significantly enhance the physisorption of SiFx species. Hence, in this condition, a F-rich oxyfluoride layer, stable at low temperature only, is physisorbed. Above this threshold temperature, the native silicon oxide layer is fluorinated and the proportion of O in the deposited layer is higher and remains stable even when the sample is brought back to room temperature.
Hsiao S., Nakane K., Tsutsumi T., Ishikawa K., Sekine M., Hori M.
Applied Surface Science scimago Q1 wos Q1
2021-03-01 citations by CoLab: 25 Abstract  
The dependence of substrate temperatures (50 to −20 °C) on etch rate in two kinds of PECVD SiN films were investigated by a CF4/H2 mixture plasma. The XRR and XPS results indicate that the chemical composition and film density were almost identical for the films. The FTIR shows that the ratio of N H and Si H groups were found to be significantly different in the SiN films. The N H rich films exhibited a lower etch rate at −20 °C than that observed at room temperature or higher, whereas the Si H rich films showed a higher etch rate at −20 °C. We found that the fluorocarbon thickness was thicker in the Si H rich samples than N H rich samples. The fact suggests that hydrogen originated from the broken Si H bonds enhanced the polymerization, which causes the decrease of etch rate. A thinner fluorocarbon thickness was found in the Si H rich samples at low temperature, which results in the higher etch rate. Angular-resolved XPS indicates that N H bonding formed easier on film surface at −20 °C. These results indicate that the bonding structure and substrate temperature affected the fluorocarbon thickness, fluorine reaction probability and hydrogen dissociation during the SiN etching.
Antoun G., Tillocher T., Lefaucheux P., Faguet J., Maekawa K., Dussart R.
Scientific Reports scimago Q1 wos Q1 Open Access
2021-01-11 citations by CoLab: 21 PDF Abstract  
Cryogenic Atomic Layer Etching (cryo-ALE) of SiO2 based on alternating a C4F8 molecule physisorption step and an argon plasma step, has been enhanced thanks to a better understanding of the mechanism. First, we used Quadrupole Mass spectrometry (QMS) and spectroscopic ellipsometry analyses to evaluate the residence time of physisorbed C4F8 molecules versus temperature and pressure on SiO2 surface. QMS monitoring of the SiF4 etching by-product also enabled to follow the self-limiting etching behavior. Finally, a SiO2 cryo-ALE process was proposed at a temperature of − 90 °C resulting in a very linear etch over 150 cycles and an Etch amount Per Cycle as low as 0.13 nm/cycle.
Renaud V., Petit-Etienne C., Barnes J., Bisserier J., Joubert O., Pargon E.
Journal of Applied Physics scimago Q2 wos Q2
2019-12-23 citations by CoLab: 16 Abstract  
This article proposes an original method to achieve topographically selective etching. It relies on cycling a two-step process comprising a plasma implantation step and a removal etching step using remote plasma source process. Both steps can be achieved in the same reactor prototype chamber, which has the capability to produce both capacitively coupled plasma and remote plasma (RP) discharges. It is shown that in RP processes, an incubation time exists before the etching starts. The introduction of a plasma implantation step prior to the RP step allows us to selectively functionalize the horizontal surfaces of the material with respect to the vertical surfaces, thanks to the ion directionality. The modifications induced by the implantation allow us to modify the incubation time between an implanted and a nonimplanted material offering a process window with infinite etch selectivity between horizontal and vertical surfaces. This approach has been demonstrated on Si3N4 blanket films with the perspective to be applied to the Si3N4 spacer etching process in which etch selectivity is a key issue. For this particular application, a cycling process comprising an H2 plasma implantation and a He/NH3/NF3 remote plasma process has been developed. The H2 implantation modifies the Si3N4 surface state by incorporating oxygen contaminants coming from the reactor wall and creating dangling bonds. This surface functionalization considerably reduces the incubation time. New insights into the etching mechanisms of Si3N4 films exposed to NH3/NF3 remote plasma are proposed and explain why the presence of Si–O bonds is mandatory for the initiation of the etching.
Chanson R., Zhang L., Naumov S., Mankelevich Y.A., Tillocher T., Lefaucheux P., Dussart R., Gendt S.D., Marneffe J.-.
Scientific Reports scimago Q1 wos Q1 Open Access
2018-01-30 citations by CoLab: 21 PDF Abstract  
The micro-capillary condensation of a new high boiling point organic reagent (HBPO), is studied in a periodic mesoporous oxide (PMO) with ∼34 % porosity and k-value ∼2.3. At a partial pressure of 3 mT, the onset of micro-capillary condensation occurs around +20 °C and the low-k matrix is filled at −20 °C. The condensed phase shows high stability from −50 < T ≤−35 °C, and persists in the pores when the low-k is exposed to a SF6-based plasma discharge. The etching properties of a SF6-based 150W-biased plasma discharge, using as additive this new HBPO gas, shows that negligible damage can be achieved at −50 °C, with acceptable etch rates. The evolution of the damage depth as a function of time was studied without bias and indicates that Si-CH3 loss occurs principally through Si-C dissociation by VUV photons.
Liu F.L., Chen Y.Y., Ye Z.H., Ding R.J., He L.
Etching at cryogenic temperature can reduced the etch-induced damage in HgCdTe during etch process, which is important to fabricate high performance IRFPAs (Infrared Focal Plane Arrays) detectors. The etch rates of HgCdTe were examined to be similar at different temperatures and the smoothness of the etched surface improves at cryogenic temperature using a standard process, and the etch rates of different CH4/Ar/H2 plasmas at 123K were also investigated. Addition of H2 increases the roughness of etched sidewall while has little effect on etched bottom surface roughness, and SiO2 with a contact layer of ZnS functioned well as etch mask during cryoetching under CH4/Ar/H2 plasmas.
Rezvanov A., Miakonkikh A.V., Vishnevskiy A.S., Rudenko K.V., Baklanov M.R.
2017-02-08 citations by CoLab: 17 Abstract  
Low temperature etching of organosilicate low-k dielectrics in CF3Br and CF4 plasmas is studied. The chemical composition of pristine and etched low-k films was measured by Fourier transform infrared spectroscopy. Reduction of plasma-induced damage at low process temperature is observed. It is shown that the plasma damage reduction is related to protective effects of accumulated reaction products (CHxFyBrz, SiBrx after CF3Br, and CFx polymers after CF4 plasma). The reaction products could then be removed by thermal annealing for the pores to become empty. In the case of CF4 plasma, the thickness of CFx polymer increases with the temperature reduction, which is measured by ellipsometry. This polymer layer leads to a strong decrease in the diffusion rate of fluorine atoms and, as a consequence, to reduction of plasma-induced damage. Bromine containing reaction products are less efficient for low-k surface protection against the plasma damage.
Staaks D., Yang X., Lee K.Y., Dhuey S.D., Sassolini S., Rangelow I.W., Olynick D.L.
Nanotechnology scimago Q2 wos Q2
2016-09-08 citations by CoLab: 8 Abstract  
Patterned chromium and its compounds are crucial materials for nanoscale patterning and chromium based devices. Here we investigate how temperature can be used to control chromium etching using chlorine/oxygen gas mixtures. Oxygen/chlorine ratios between 0% and 100% and temperatures between -100 °C and +40 °C are studied. Spectroscopic ellipsometry is used to precisely measure rates, chlorination, and the thickness dependence of n and k. Working in the extremes of oxygen content (very high or very low) and lower temperatures, we find rates can be controlled to nanometers per minute. Activation energies are measured and show that etch mechanisms are both temperature and oxygen level dependent. Furthermore, we find that etching temperature can manipulate the surface chemistry. One surprising consequence is that at low oxygen levels, Etching rates increase with decreasing temperature. Preliminary feature-profile studies show the extremes of temperature and oxygen provide advantages over commonly used room temperature processing conditions. One example is with higher ion energies at -100 °C, where etching products deposit.
Chen Y.Y., Ye Z.H., Sun C.H., Zhang S., Hu X.N., Ding R.J., He L.
To fabricate various advanced structures with HgCdTe material, the Inductively Coupled Plasma enhanced Reactive Ion Etching system is indispensable. However, due to low damage threshold and complicated behaviors of mercury in HgCdTe, the lattice damage and induced electrical conversion is very common. According to the diffusion model during etching period, the mercury interstitials, however, may not diffuse deep into the material at cryogenic temperature. In this report, ICP etching of HgCdTe at cryogenic temperature was implemented. The etching system with cryogenic assembly is provided by Oxford Instrument. The sample table was cooled down to 123K with liquid nitrogen. The mask of SiO2 with a contact layer of ZnS functioned well at this temperature. The selectivity and etching velocity maintained the same as reported in the etching of room temperature. Smooth and clean surfaces and profiles were achieved with an optimized recipe.
Leroy F., Zhang L., Tillocher T., Yatsuda K., Maekawa K., Nishimura E., Lefaucheux P., de Marneffe J., Baklanov M.R., Dussart R.
2015-09-30 citations by CoLab: 19 Abstract  
Cryogenic etching processes in SF6 and SF6/C4F8 plasmas were successfully applied to porous organosilicate glasses. Such materials are low-k candidates for advanced interconnects. Their integration is very challenging because of plasma induced damage. These two chemistries (SF6 and SF6/C4F8) have demonstrated a promising capability of significantly reducing the damage caused by plasma etching. Desorbed species were analyzed during the wafer warm-up from cryogenic to room temperature by in situ mass spectrometry. An equivalent damage layer (EDL) was evaluated by ex situ Fourier transform infrared (FTIR) spectroscopy and in situ ellipsometry. An anneal step at 350 °C seems efficient to completely desorb the remaining CF x species. Anisotropic profiles were obtained using both chemistries. The selectivity is enhanced using SF6/C4F8 process at low temperature.
Tinck S., Neyts E.C., Bogaerts A.
Journal of Physical Chemistry C scimago Q1 wos Q3
2014-12-10 citations by CoLab: 30 Abstract  
Cyrogenic etching of silicon is envisaged to enable better control over plasma processing in the microelectronics industry, albeit little is known about the fundamental differences compared to the ...
Lee C.G., Kanarik K.J., Gottscho R.A.
2014-06-18 citations by CoLab: 128 Abstract  
Plasma etching has been enabling nano-electronic fabrication since the 1980s; during this time, transistor size has shrunk by nearly two orders of magnitude, starting at 1.0 µm in the mid 80s to ~0.01 µm today. The manufacturing of these devices requires overcoming a series of challenges, ranging from continuous innovation on device integration to extend Moore's law to breaking tradeoffs on the perennial challenge of aspect ratio-dependent etching. In this paper, we will review four key areas in etch manufacturing: uniformity, defects, surface precision and 'sticky'/non-volatile etch materials. In the uniformity section, we will discuss the challenges for microscopic uniformity, such as localized feature dimension variations; macroscopic uniformity, such as performance at the extreme edge of the wafer; and repeatable uniformity, meaning wafer-to-wafer, lot-to-lot and chamber-to-chamber performance. While defect management is successful with in situ plasma cleans, one must be cognizant of the choice of clean chemistry. In surface precision, we look at the approach of atomic layer etching and how it can be successful in a manufacturing environment. Finally, in the non-volatile material section, we review technology drivers for DRAM (dynamic random access memory) and NAND flash memory in the microelectronics Si industry, with focus on the utilization of such materials and what it means to etch equipment manufacturers.
Dussart R., Tillocher T., Lefaucheux P., Boufnichel M.
2014-03-06 citations by CoLab: 147 Abstract  
The evolution of silicon cryoetching is reported in this topical review, from its very first introduction by a Japanese team to today's advanced technologies. The main advances in terms of the performance and comprehension of the mechanisms are chronologically presented. After presenting the principle of silicon cryoetching, the main defects encountered in cryoetching (such as undercut, bowing and crystal orientation dependent etching) are presented and discussed. Mechanisms involved in SiOxFy passivation layer growth in standard cryoetching are investigated through several in situ characterization experiments. The STiGer process and alternative cryoetching processes for high-aspect-ratio structures are also proposed to enhance the process robustness. The over-passivation regime, which can provide self-organized columnar microstructures, is presented and discussed. Finally, advanced technologies, such as the cryoetching of sub-20 nm features and porous OSG low-k cryoetching, are described.
Krüger F., Zhang D., Luan P., Park M., Metz A., Kushner M.J.
2024-06-28 citations by CoLab: 1 Abstract  
Computational modeling of plasma etching processes at the feature scale relevant to the fabrication of nanometer semiconductor devices is critically dependent on the reaction mechanism representing the physical processes occurring between plasma produced reactant fluxes and the surface, reaction probabilities, yields, rate coefficients, and threshold energies that characterize these processes. The increasing complexity of the structures being fabricated, new materials, and novel gas mixtures increase the complexity of the reaction mechanism used in feature scale models and increase the difficulty in developing the fundamental data required for the mechanism. This challenge is further exacerbated by the fact that acquiring these fundamental data through more complex computational models or experiments is often limited by cost, technical complexity, or inadequate models. In this paper, we discuss a method to automate the selection of fundamental data in a reduced reaction mechanism for feature scale plasma etching of SiO2 using a fluorocarbon gas mixture by matching predictions of etch profiles to experimental data using a gradient descent (GD)/Nelder–Mead (NM) method hybrid optimization scheme. These methods produce a reaction mechanism that replicates the experimental training data as well as experimental data using related but different etch processes.
Oehrlein G.S., Brandstadter S.M., Bruce R.L., Chang J.P., DeMott J.C., Donnelly V.M., Dussart R., Fischer A., Gottscho R.A., Hamaguchi S., Honda M., Hori M., Ishikawa K., Jaloviar S.G., Kanarik K.J., et. al.
2024-06-07 citations by CoLab: 21 Abstract  
Plasma etching is an essential semiconductor manufacturing technology required to enable the current microelectronics industry. Along with lithographic patterning, thin-film formation methods, and others, plasma etching has dynamically evolved to meet the exponentially growing demands of the microelectronics industry that enables modern society. At this time, plasma etching faces a period of unprecedented changes owing to numerous factors, including aggressive transition to three-dimensional (3D) device architectures, process precision approaching atomic-scale critical dimensions, introduction of new materials, fundamental silicon device limits, and parallel evolution of post-CMOS approaches. The vast growth of the microelectronics industry has emphasized its role in addressing major societal challenges, including questions on the sustainability of the associated energy use, semiconductor manufacturing related emissions of greenhouse gases, and others. The goal of this article is to help both define the challenges for plasma etching and point out effective plasma etching technology options that may play essential roles in defining microelectronics manufacturing in the future. The challenges are accompanied by significant new opportunities, including integrating experiments with various computational approaches such as machine learning/artificial intelligence and progress in computational approaches, including the realization of digital twins of physical etch chambers through hybrid/coupled models. These prospects can enable innovative solutions to problems that were not available during the past 50 years of plasma etch development in the microelectronics industry. To elaborate on these perspectives, the present article brings together the views of various experts on the different topics that will shape plasma etching for microelectronics manufacturing of the future.
Hsiao S., Sekine M., Britun N., Mo M.K., Imai Y., Tsutsumi T., Ishikawa K., Iijima Y., Suda R., Yokoi M., Kihara Y., Hori M.
Small Methods scimago Q1 wos Q1
2024-06-02 citations by CoLab: 6 Abstract  
AbstractManufacturing semiconductor devices requires advanced patterning technologies, including reactive ion etching (RIE) based on the synergistic interactions between ions and etch gas. However, these interactions weaken as devices continuously scale down to sub‐nanoscale, primarily attributed to the diminished transport of radicals and ions into the small features. This leads to a significant decrease in etch rate (ER). Here, a novel synergistic interaction involving ions, surface‐adsorbed chemistries, and materials at cryogenic temperatures is found to exhibit a significant increase in the ER of SiO2 using CF4/H2 plasmas. The ER increases twofold when plasma with H2/(CF4 + H2) = 33% is used and the substrate temperature is lowered from 20 to −60 °C. The adsorption of HF and H2O on the SiO2 surface at cryogenic temperatures is confirmed using in situ Fourier transform infrared spectroscopy. The synergistic interactions of the surface‐adsorbed HF/H2O as etching catalysts and plasma species result in the ER enhancement. Therefore, a mechanism called “pseudo‐wet plasma etching” is proposed to explain the cryogenic etching process. This synergy demonstrates that the enhanced etch process is determined by the surface interactions between ions, surface‐adsorbed chemistry, and the material being etched, rather than interactions between ion and gas phase, as observed in the conventional RIE.
Kwon H., Bang I., Kim J., Kim H., Lim S., Kim S., Cho S., Kim J., Kim W., Shin G., Kwon G.
Nanomaterials scimago Q1 wos Q2 Open Access
2024-01-17 citations by CoLab: 3 PDF Abstract  
This study investigated the effect of temperature on the aspect-ratio etching of SiO2 in CF4/H2/Ar plasma using patterned samples of a 200 nm trench in a low-temperature reactive-ion etching system. Lower temperatures resulted in higher etch rates and aspect ratios for SiO2. However, the plasma property was constant with the chuck temperature, indicated by the line intensity ratio from optical emission spectroscopy monitoring of the plasma. The variables obtained from the characterization of the etched profile for the 200 nm trench after etching were analyzed as a function of temperature. A reduction in the necking ratio affected the etch rate and aspect ratio of SiO2. The etching mechanism of the aspect ratio etching of SiO2 was discussed based on the results of the surface composition at necking via energy-dispersive X-ray spectroscopy with temperature. The results suggested that the neutral species reaching the etch front of SiO2 had a low sticking coefficient. The bowing ratio decreased with lowering temperature, indicating the presence of directional ions during etching. Therefore, a lower temperature for the aspect ratio etching of SiO2 could achieve a faster etch rate and a higher aspect ratio of SiO2 via the reduction of necking than higher temperatures.
Hsiao S., Sekine M., Ishikawa K., Iijima Y., Ohya Y., Hori M.
Applied Physics Letters scimago Q1 wos Q2
2023-11-20 citations by CoLab: 11 Abstract  
The surface conductivity influences the etched pattern profiles in the plasma process. In the dielectric film etching, it is vital to reduce the charging build-up, which bends the trajectory of incoming ions for highly anisotropic etching. A significant increase in surface electric conductivity of SiO2 films was observed when exposed to down-flow plasmas containing hydrogen fluoride (HF) at cryogenic temperature (−60 °C). This phenomenon can be attributed to two factors: (i) the absorption of HF and/or its compounds and (ii) the presence of H2O, which is likely originating from the etching by-product of SiO2 and/or within the reactor. Comparing the surface electric resistance of the samples treated with HF plasmas to that of CF4/H2 and C4F8/H2 plasmas, we found that HF plasma treatment enables to be approximately three and six orders of magnitude lower. By using in situ x-ray photoemission spectroscopy, it was revealed that the presence of HF and/or its compounds and H2O were absorbed on the sample surface at −60 °C. These results strongly suggest that the cryogenic plasma etching with HF-contained gases can be used to alleviate the charge build-up issues.

Top-30

Journals

1
1

Publishers

1
2
3
1
2
3
  • We do not take into account publications without a DOI.
  • Statistics recalculated only for publications connected to researchers, organizations and labs registered on the platform.
  • Statistics recalculated weekly.

Are you a researcher?

Create a profile to get free access to personal recommendations for colleagues and new articles.
Share
Cite this
GOST | RIS | BibTex | MLA
Found error?